覆盖学起Plus、超星学习通等平台的全学科作业答案、历年真题与考试速查系统
中国大学MOOC作业考试答案

想要快速找到正确答案?

立即关注 九八五题库微信公众号,轻松解决学习难题!

中国大学MOOC
扫码关注

作业辅导
扫码关注
论文指导
轻松解决学习难题!

中国大学MOOC电子测试平台与工具2作业答案

电子测试平台与工具2

学校: 九八五题库

学校: 超星学习通

题目如下:

1. 1. 按位或的运算符为

A. &

B. ~

C. |

D. ^

答案: |

2. 2. 模块中有多条assign语句时,语句之间的关系

A. 串行执行

B. 并行执行

答案: 并行执行

3. 3. assign x = {2{1'b0},a};正确的为

A. x = {0,a}

B. x = {0,0,a}

C. x = {a}

答案: x = {0,0,a}

4. 4. Testbench模块的输出管脚连接设计模块的

A. 输出管脚

B. 输入管脚

答案: 输入管脚

5. 5. 描述clk下降沿有效、异步低电平复位正确的是:

A. always @(posedge clk or negedge rst) if(!rst) q <= 1'b0; else q <= in;

B. always @(negedge clk or negedge rst) if(!rst) q <= 1'b0; else q <= in;

C. always @(posedge clk or posedge rst) if(!rst) q <= 1'b0; else q <= in;

D. always @(negedge clk or posedge rst) if(!rst) q <= 1'b0; else q <= in;

答案: always @(negedge clk or negedge rst) if(!rst) q <= 1'b0; else q <= in;

6. 6. 按位取反的运算符为

A. ^

B. |

C. &

D. ~

答案: ~

7. 7. 1个模块中允许的always语句

A. 2~10条

B. 没有限制

C. 1条

答案:请关注【九八五题库】微信公众号,发送题目获取正确答案。

8. 8. Testbench通常

A. 必须要有

B. 可有可无

C. 根本不需要

答案:请关注【九八五题库】微信公众号,发送题目获取正确答案。

9. 9. 一个设计由

A. 多个模块构成

B. 多个模块以及这些模块间的互连构成

C. 模块间的互连构成

答案:请关注【九八五题库】微信公众号,发送题目获取正确答案。

10. 10. 逻辑与的运算符为

A. &&

B. !

C. ||

答案:请关注【九八五题库】微信公众号,发送题目获取正确答案。

11. 11. 模块中有多条always语句时,语句之间的关系

A. 并行执行

B. 串行执行

答案:请关注【九八五题库】微信公众号,发送题目获取正确答案。

12. 12. initial块中代码的执行方式:

A. 顺序执行

B. 并行执行

答案:请关注【九八五题库】微信公众号,发送题目获取正确答案。

13. 13. 当1个模块需要多次使用时采用何种方法?

A. 复制

B. 调用

C. 实例化

答案:请关注【九八五题库】微信公众号,发送题目获取正确答案。

14. 14. Verilog设计的核心

A. 模块(module)

B. always语句

答案:请关注【九八五题库】微信公众号,发送题目获取正确答案。

15. 15. 描述clk下降沿有效、同步低电平复位正确的是:

A. always @(negedge clk) if(!rst) q <= 1'b0; else q <= in;

B. always @(posedge clk) if(!rst) q <= 1'b0; else q <= in;

答案:请关注【九八五题库】微信公众号,发送题目获取正确答案。

16. 16. 8位输出信号out的管脚定义,正确的是:

A. input [8:0] out;

B. out [8:0] output;

C. output reg [7:0] out;

D. out[7:0] output;

答案:请关注【九八五题库】微信公众号,发送题目获取正确答案。

17. 17. 描述clk上升沿有效、同步低电平复位正确的是:

A. always @(negedge clk) if(!rst) q <= 1'b0; else q <= in;

B. always @(posedge clk) if(!rst) q <= 1'b0; else q <= in;

答案:请关注【九八五题库】微信公众号,发送题目获取正确答案。

18. 18. 测试模块中允许的initial语句

A. 1条

B. 2~10条

C. 没有限制

答案:请关注【九八五题库】微信公众号,发送题目获取正确答案。

19. 19. 设计顺序通常为

A. 模块定义→模块实例化→模块互连→系统

B. 模块互连→模块实例化→模块定义→系统

C. 系统→模块定义→模块实例化→模块互连

D. 模块实例化→模块定义→模块互连→系统

答案:请关注【九八五题库】微信公众号,发送题目获取正确答案。

20. 20. 逻辑取反的运算符为

A. &&

B. ||

C. !

答案:请关注【九八五题库】微信公众号,发送题目获取正确答案。

21. 21. Verilog中信号值X/x表示

A. 未知态,为1;

B. 未知态,可能为0,也可能为1;

C. 未知态,为0;

D. 高阻态

答案:请关注【九八五题库】微信公众号,发送题目获取正确答案。

22. 22. Verilog语言

A. 翻译为机器指令,并在计算机上执行

B. 描述硬件在时间上的行为和空间上的结构

答案:请关注【九八五题库】微信公众号,发送题目获取正确答案。

23. 23. assign语句中使用何种赋值?

A. 阻塞赋值=

B. 非阻塞赋值=>

答案:请关注【九八五题库】微信公众号,发送题目获取正确答案。

24. 24. 描述clk上升沿有效、异步高电平复位正确的是:

A. always @(negedge clk or negedge rst) if(!rst) q <= 1'b0; else q <= in;

B. always @(posedge clk or posedge rst) if(rst) q <= 1'b1; else q <= in;

C. always @(posedge clk or negedge rst) if(!rst) q <= 1'b0; else q <= in;

D. always @(negedge clk or posedge rst) if(!rst) q <= 1'b0; else q <= in;

答案:请关注【九八五题库】微信公众号,发送题目获取正确答案。

25. 25. 设置时间单位为1ns、时间精度为1ps正确的是

A. `timescale 1ns/1ps

B. timescale 1ns/1ps

C. `timescale 1/1

答案:请关注【九八五题库】微信公众号,发送题目获取正确答案。

26. 26. 模块中有多条initial语句时,语句之间的关系

A. 并行执行

B. 串行执行

答案:请关注【九八五题库】微信公众号,发送题目获取正确答案。

27. 27. 8位输出信号out的管脚定义,正确的是:

A. out output [7:0];

B. output [7:0] out;

C. output out [7:0];

D. input [7:0] out;

答案:请关注【九八五题库】微信公众号,发送题目获取正确答案。

28. 28. 仿真时

A. 不需要设置时间单位

B. 需要设置时间单位

答案:请关注【九八五题库】微信公众号,发送题目获取正确答案。

29. 29. 1位输入信号in的定义,正确的是:

A. in wire input

B. input in;

C. input reg in;

D. in input

答案:请关注【九八五题库】微信公众号,发送题目获取正确答案。

30. 30. 2位输入信号in的定义,正确的是:

A. input reg [1:0] in;

B. in [1:0] input;

C. input in [1:0];

D. input [1:0] in;

答案:请关注【九八五题库】微信公众号,发送题目获取正确答案。

31. 31. assign y = {2{a},3{b}};正确的为

A. y = {a,a,b,b}

B. y = {a,a,b,b,b}

C. y = {a,a,b}

答案:请关注【九八五题库】微信公众号,发送题目获取正确答案。

32. 32. assign语句正确的是:

A. assign sela = a & nsl;

B. assign out = sela | selb;

C. assign clk = ~clk;

D. assign #1.5 clk = ~clk;

答案:请关注【九八五题库】微信公众号,发送题目获取正确答案。

33. 33. Verilog中信号值可以为

A. z

B. 0

C. x

D. 1

答案:请关注【九八五题库】微信公众号,发送题目获取正确答案。

34. 34. 信号定义正确的是:

A. reg [1:0] data;

B. wire data[1:0];

C. reg data [1:0];

D. wire [1:0] data;

答案:请关注【九八五题库】微信公众号,发送题目获取正确答案。

35. 35. assign语句可用在

A. 用于设计模块、测试模块

B. 只能用于设计模块

C. 只能用于测试模块

答案:请关注【九八五题库】微信公众号,发送题目获取正确答案。

36. 36. assign语句正确的是:

A. assign clk = ~clk;

B. assign y = (b & s);

C. assign y = s ? b : a;

答案:请关注【九八五题库】微信公众号,发送题目获取正确答案。

37. 37. Verilog模块由

A. module

B. 逻辑功能

C. 端口定义

D. 模块名称

E. 信号/数据类型声明

F. 端口列表

答案:请关注【九八五题库】微信公众号,发送题目获取正确答案。

38. 38. always语句可用在

A. 只能用于设计模块

B. 用于设计模块、测试模块

C. 只能用于测试模块

答案:请关注【九八五题库】微信公众号,发送题目获取正确答案。

39. 39. 可综合语句描述正确的是?

A. 需要转换为最终的电路

B. 只能用于设计模块

C. 用于设计模块、测试模块

D. 只能用于测试模块

答案:请关注【九八五题库】微信公众号,发送题目获取正确答案。

40. 40. initial语句可以

A. 用于设计逻辑结构

B. 用于仿真

答案:请关注【九八五题库】微信公众号,发送题目获取正确答案。

41. 41. 模块(and4(a,b,c))已设计好,正确的实例化语法是

A. and4 C1(a1,b1,c1);

B. and4 C2(a2,b2,c2);

C. C2 and4(a2,b2,c2);

D. C1 and4(a1,b1,c1);

答案:请关注【九八五题库】微信公众号,发送题目获取正确答案。

42. 42. Verilog模块输入端口一定定义为

A. reg

B. wire

C. Integer

D. Time

答案:请关注【九八五题库】微信公众号,发送题目获取正确答案。

43. 43. 多主机输出信号连接在一起时,通常采用何种输出结构?

A. 未知态X

B. 高阻态Z

答案:请关注【九八五题库】微信公众号,发送题目获取正确答案。

44. 44. Verilog模块端口列表各个信号之间分隔符为

A. 分号;

B. 逗号,

答案:请关注【九八五题库】微信公众号,发送题目获取正确答案。

45. 45. 按位异或的运算符为

A. |

B. ~

C. ^

D. &

答案:请关注【九八五题库】微信公众号,发送题目获取正确答案。

46. 46. 将信号定义为reg会不会综合为寄存器?

A. 一定会

B. 不一定

答案:请关注【九八五题库】微信公众号,发送题目获取正确答案。

47. 47. 按位与的运算符为

A. ~

B. &

C. |

D. ^

答案:请关注【九八五题库】微信公众号,发送题目获取正确答案。

48. 48. 1个模块中允许的assign语句

A. 1条

B. 没有限制

C. 2~10条

答案:请关注【九八五题库】微信公众号,发送题目获取正确答案。

49. 49. Verilog语言是

A. Hardware Description Language

B. Software Programming Language

答案:请关注【九八五题库】微信公众号,发送题目获取正确答案。

50. 50. Verilog中信号值Z/z表示

A. 未知态,为1;

B. 未知态,可能为0,也可能为1;

C. 高阻态或悬空

答案:请关注【九八五题库】微信公众号,发送题目获取正确答案。

51. 51. 描述clk上升沿有效、异步低电平复位正确的是:

A. always @(negedge clk or posedge rst) if(!rst) q <= 1'b0; else q <= in;

B. always @(negedge clk or negedge rst) if(!rst) q <= 1'b0; else q <= in;

C. always @(posedge clk or negedge rst) if(!rst) q <= 1'b0; else q <= in;

D. always @(posedge clk or posedge rst) if(!rst) q <= 1'b0; else q <= in;

答案:请关注【九八五题库】微信公众号,发送题目获取正确答案。

52. 52. always描述时序电路时使用何种赋值?

A. 非阻塞赋值=>

B. 阻塞赋值=

答案:请关注【九八五题库】微信公众号,发送题目获取正确答案。

53. 53. always语句正确的是:

A. reg y; always @(*) assign y = (s) ? b : a;

B. reg y; always @(*) if(s) y = b; else y = a;

C. wire y; always @(*) if(s) y = b; else y = a;

答案:请关注【九八五题库】微信公众号,发送题目获取正确答案。

54. 54. initial可以描述

A. 时序逻辑

B. 组合、时序逻辑都不能

C. 组合逻辑

答案:请关注【九八五题库】微信公众号,发送题目获取正确答案。

55. 55. always语法中赋值信号必须定义为

A. wire

B. Time

C. Integer

D. reg

答案:请关注【九八五题库】微信公众号,发送题目获取正确答案。

56. 56. initial语法中赋值信号必须定义为

A. wire

B. Integer

C. reg

D. Time

答案:请关注【九八五题库】微信公众号,发送题目获取正确答案。

57. 57. assign语法中赋值信号必须定义为

A. reg

B. Time

C. Integer

D. wire

答案:请关注【九八五题库】微信公众号,发送题目获取正确答案。

58. 58. assign可以描述

A. 组合逻辑

B. 时序逻辑

答案:请关注【九八五题库】微信公众号,发送题目获取正确答案。

59. 59. Verilog模块端口定义为

A. in

B. input

C. out

D. output

E. inout

答案:请关注【九八五题库】微信公众号,发送题目获取正确答案。

60. 60. 仿真时

A. 需要设置时间精度

B. 不需要设置时间精度

答案:请关注【九八五题库】微信公众号,发送题目获取正确答案。

61. 61. 描述clk下降沿有效、异步高电平复位正确的是:

A. always @(negedge clk or posedge rst) if(rst) q <= 1'b0; else q <= in;

B. always @(posedge clk or negedge rst) if(!rst) q <= 1'b0; else q <= in;

C. always @(negedge clk or negedge rst) if(!rst) q <= 1'b0; else q <= in;

D. always @(posedge clk or posedge rst) if(!rst) q <= 1'b0; else q <= in;

答案:请关注【九八五题库】微信公众号,发送题目获取正确答案。

62. 62. 设置`timescale 1ns/1ps后,#5表示

A. 延时5ns

B. 延时5ps

C. 延时5s

答案:请关注【九八五题库】微信公众号,发送题目获取正确答案。

63. 63. always可以描述

A. 组合逻辑

B. 时序逻辑

答案:请关注【九八五题库】微信公众号,发送题目获取正确答案。

64. 64. always语句可以

A. 用于仿真

B. 用于设计逻辑结构

答案:请关注【九八五题库】微信公众号,发送题目获取正确答案。

65. 65. assign语句可以

A. 用于仿真

B. 用于设计逻辑结构

答案:请关注【九八五题库】微信公众号,发送题目获取正确答案。

66. 66. 测试模块是为了

A. 构造各种被测模块所需的输入信号和接收设计模块的响应

B. 实现逻辑功能

答案:请关注【九八五题库】微信公众号,发送题目获取正确答案。

67. 67. Testbench模块的输入管脚连接设计模块的

A. 输入管脚

B. 输出管脚

答案:请关注【九八五题库】微信公众号,发送题目获取正确答案。

68. 68. 拼接运算符为

A. { }

B. ( )

答案:请关注【九八五题库】微信公众号,发送题目获取正确答案。

69. 69. 不可综合语句描述正确的是?

A. 需要转换为最终的电路

B. 只能用于测试模块

C. 用于设计模块、测试模块

D. 只能用于设计模块

答案:请关注【九八五题库】微信公众号,发送题目获取正确答案。

70. 70. always语句正确的是:

A. always @(posedge clk or negedge rst) if(!rst) q = 1'b0; else q <= in;

B. always @(posedge clk or negedge rst) if(rst) a <= 1'b0; else q <= in;

C. always @(posedge clk or negedge rst) if(!rst) q <= 1'b0; else q <= in;

D. always @(posedge clk or negedge rst) if(rst) q <= 1'b0; else q <= in;

答案:请关注【九八五题库】微信公众号,发送题目获取正确答案。

71. 71. 拼接运算符正确的是

A. assign y = {2{a},3{b}};

B. assign x = {2{1'b0},a};

答案:请关注【九八五题库】微信公众号,发送题目获取正确答案。

72. 72. 信号定义正确的是:

A. reg wr_wr_data;

B. wire wr_data;

C. reg wr_data;

答案:请关注【九八五题库】微信公众号,发送题目获取正确答案。

73. 73. assign语句正确的是:

A. assign rtype = (op == `INSTR_RTYPE_OP);

B. assign a_temp = {a[31],a};

C. assign databus = (re) ? out : 16'bz;

答案:请关注【九八五题库】微信公众号,发送题目获取正确答案。

74. 1. I2C协议中,SDA线通常不会外接上拉电阻。

答案:请关注【九八五题库】微信公众号,发送题目获取正确答案。

75. 2. I2C总线中,只能实现2个设备之间的数据传输。

答案:请关注【九八五题库】微信公众号,发送题目获取正确答案。

76. 3. I2C总线中,只能实现多个设备之间的数据传输。

答案:请关注【九八五题库】微信公众号,发送题目获取正确答案。

77. 4. I2C总线中,每个设备没有独立的地址。

答案:请关注【九八五题库】微信公众号,发送题目获取正确答案。

78. 5. I2C协议中随机地址读操作时,需要虚写(DUMMY WRITE)。

答案:请关注【九八五题库】微信公众号,发送题目获取正确答案。

79. 6. I2C协议只需要1根线即可实现数据传输。

答案:请关注【九八五题库】微信公众号,发送题目获取正确答案。

80. 7. I2C总线中,每个设备有独立的地址。

答案:请关注【九八五题库】微信公众号,发送题目获取正确答案。

81. 8. I2C协议中随机地址读操作时,不需要虚写(DUMMY WRITE)。

答案:请关注【九八五题库】微信公众号,发送题目获取正确答案。

82. 9. AT24C02芯片没有写操作时间,即执行写操作(不包括写操作时间)后立刻可进行其它操作。

答案:请关注【九八五题库】微信公众号,发送题目获取正确答案。

83. 10. I2C协议中,SDA线通常会外接上拉电阻。

答案:请关注【九八五题库】微信公众号,发送题目获取正确答案。

84. 11. I2C协议中,SDA只会输出数据。

答案:请关注【九八五题库】微信公众号,发送题目获取正确答案。

85. 12. I2C协议中,ACK信号只能是主机发出。

答案:请关注【九八五题库】微信公众号,发送题目获取正确答案。

86. 13. I2C协议只需要2根线(除地线外)即可实现数据传输。

答案:请关注【九八五题库】微信公众号,发送题目获取正确答案。

87. 14. I2C协议中,ACK信号只能是从机发出。

答案:请关注【九八五题库】微信公众号,发送题目获取正确答案。

88. 15. I2C协议中,SDA只能输入数据。

答案:请关注【九八五题库】微信公众号,发送题目获取正确答案。

89. 16. AT24C02为EEPROM,掉电后存储的数据不会丢失。

答案:请关注【九八五题库】微信公众号,发送题目获取正确答案。

90. 17. AT24C02芯片有写操作时间,即执行写操作(芯片内部需要将数据烧写至存储单元)后,必须要等待一段时间才能进行其它操作。

答案:请关注【九八五题库】微信公众号,发送题目获取正确答案。

91. 18. I2C协议中,SDA能按照协议时序输入或输出信号。

答案:请关注【九八五题库】微信公众号,发送题目获取正确答案。

92. 19. AT24C02的SCL信号时钟没有频率限制。

答案:请关注【九八五题库】微信公众号,发送题目获取正确答案。

93. 20. AT24C02为EEPROM,掉电后存储的数据会丢失。

答案:请关注【九八五题库】微信公众号,发送题目获取正确答案。

94. 21. EEPROM芯片中器件地址最低位表示:

A. 读写位

B. 无意义

C. 地址0位

答案:请关注【九八五题库】微信公众号,发送题目获取正确答案。

95. 22. I2C总线中,只需要几条信号线(除地线外)。

A. 4

B. 1

C. 2

D. 3

答案:请关注【九八五题库】微信公众号,发送题目获取正确答案。

96. 23. I2C协议中随机地址读操作时,DUMMY WRITE的目的是:

A. 根本不需要。

B. 用于写入读操作的地址。

C. 用于写入写操作的地址。

D. 满足时间需要。

答案:请关注【九八五题库】微信公众号,发送题目获取正确答案。

97. 24. I2C协议中,当SCL为高、SDA产生下降沿表示处于:

A. 空闲状态

B. 数据传输

C. 停止信号

D. 起始信号

答案:请关注【九八五题库】微信公众号,发送题目获取正确答案。

98. 25. I2C总线中,时钟线的名称是:

A. SPI

B. SCL

C. SDA

D. I2C

答案:请关注【九八五题库】微信公众号,发送题目获取正确答案。

99. 26. I2C总线中,数据线的名称是:

A. SDA

B. SCL

C. RXD

D. SPI

答案:请关注【九八五题库】微信公众号,发送题目获取正确答案。

100. 27. I2C协议中传输数据时:SDA上的数据只能在SCL什么样的电平才允许变化。

A. 上升沿

B. 低电平

C. 高电平

D. 高电平或低电平

答案:请关注【九八五题库】微信公众号,发送题目获取正确答案。

101. 28. AT24C02芯片的存储容量为:

A. 2Kbit

B. 1Kbit

C. 2KBytes

D. 1KBYTE

答案:请关注【九八五题库】微信公众号,发送题目获取正确答案。

102. 29. AT24C02芯片中SCL的最高频率为:

A. 2Mhz@5V;

B. 3Mhz@5V;

C. 4Mhz@5V;

D. 1Mhz@5V;

答案:请关注【九八五题库】微信公众号,发送题目获取正确答案。

103. 30. AT24C02芯片的存储容量为:

A. 512字节

B. 256字节

C. 512位

D. 256位;

答案:请关注【九八五题库】微信公众号,发送题目获取正确答案。

104. 31. I2C协议中,当SCL、SDA长时间都为高电平,表示处于:

A. 数据传输

B. 起始信号

C. 总线空闲状态

D. 停止信号

答案:请关注【九八五题库】微信公众号,发送题目获取正确答案。

105. 32. I2C协议中,停止信号是下列哪一种?

A. SCL=1,SDA=1;

B. SDA=1,SCL=上升沿;

C. SCL=1,SDA=上升沿;

D. SCL=1,SDA=下降沿;

答案:请关注【九八五题库】微信公众号,发送题目获取正确答案。

106. 33. I2C协议中,每次数据传输通常传输几位?

A. 8

B. 10

C. 7

D. 9

答案:请关注【九八五题库】微信公众号,发送题目获取正确答案。

107. 34. EEPROM芯片中器件地址的高4位为:

A. 4'b1010

B. 1

C. 2'b10

D. 3'b111

E. 4'b1000

答案:请关注【九八五题库】微信公众号,发送题目获取正确答案。

108. 35. AT24C02芯片的WP管脚为写保护,只能读的是:

A. WP=1

B. WP=1或0

C. WP=0

答案:请关注【九八五题库】微信公众号,发送题目获取正确答案。

109. 36. I2C协议中,地址先发送:

A. 第7位(MSB)

B. 任意位

C. 第0位(LSB)

答案:请关注【九八五题库】微信公众号,发送题目获取正确答案。

110. 37. I2C协议中,数据先发送:

A. 第0位

B. 第7位

C. 第2位

D. 第6位

答案:请关注【九八五题库】微信公众号,发送题目获取正确答案。

111. 38. I2C协议中,单字节写操作的顺序是:

答案:请关注【九八五题库】微信公众号,发送题目获取正确答案。

112. 39. EEPROM芯片中,器件地址最低位:

答案:请关注【九八五题库】微信公众号,发送题目获取正确答案。

113. 40. AT24C02芯片SCL最高频率为:

A. 400khz@5V;

B. 400khz@2.5V;

C. 400khz@1.8V;

D. 400khz@2.7V;

答案:请关注【九八五题库】微信公众号,发送题目获取正确答案。



    文章说明
    本文标签:
    ©版权声明
    本站提供的文章均来自网上,如有侵权请邮件与我们联系处理。敬请谅解!
    评论留言

    昵称

    邮箱

    地址